(383a) Virtual Metrology As a Big Data Solution to Semiconductor Manufacturing | AIChE

(383a) Virtual Metrology As a Big Data Solution to Semiconductor Manufacturing

Authors 

Suthar, K. - Presenter, Auburn University
Shah, D., Auburn University
He, Q. P., Auburn University
As data volumes, rates, varieties and uncertainties increase exponentially in the digital universe, the semiconductor industry is faced with a need for new approaches to data management and analytics. These are often termed “big data” challenges. Predictive maintenance (PdM), virtual metrology (VM) and yield prediction represent some of the areas where big data solutions are generating significant benefits across a variety of process types[1], [2].

The development of prediction methods for estimating wafer properties using virtual metrology (VM), also known as soft sensors, is one of the most active research areas in the semiconductor manufacturing. Because metrology tools are expensive, VM could result in significant money saving. Besides the economic benefit of replacing or reducing metrology tools, due to the instant availability of high frequency machine data, a good VM can actually provide better process monitoring and control performance compared to the same monitoring and control schemes based on the physical metrology data which often obtained at lower frequencies and usually with delays[3]–[5].

In terms of applications, VM has been applied in many semiconductor manufacturing processes such as lithography[6], etch[7]–[10], and deposition processes[11]–[13]. Various run-to-run control and fault detection methods have been developed utilizing VM[3], [14].

In terms of methodology, both model-based and data-driven approaches have been developed. Compared to model-based VMs, data-driven VMs are easier to develop and to implement online, therefore they are potentially more attractive in practice. Among data-drive VMs, the most commonly used ones have been developed based on time series analysis (TSA), multiple linear regression (MLR), Kalman filter (KF), principal component regression (PCR), partial least squares (PLS), support vector regression (SVR), artificial neural networks (ANNs), and their adaptive or recursive variants such as recursive PLS (RPLS) and locally weighted PLS (LW-PLS)[3]–[5], [14]–[18].

One major challenge in data-driven VMs, especially those based on multivariate statistical methods such as PCR, PLS and SVR, is that they require various data preprocessing steps including trajectory alignment (also known as synchronization or time warping), mean shift and trajectory unfolding. Some of these steps may require expert knowledge and/or human intervention, which make them difficult to be automated for real applications.

In this work, we propose a statistics pattern analysis (SPA) based VM modeling approach, which is based on the SPA process modeling and monitoring framework we proposed previously[19], [20]. The most significant difference between our proposed VM approach and the other existing approaches is that instead of extracting correlations between process variable and metrology measurements, SPA extract the correlations between batch statistics patterns and metrology measurements to build VM models. By doing so, SPA based VM can not only readily handle the challenges posed by semiconductor processes such as unequal batch durations, but also provide superior prediction performance, which is demonstrated using a data set from a simulated low pressure chemical vapor deposition (LPCVD) process and an industrial plasma etch data set. SPA is compared to several existing approaches in both case studies. Finally, we discuss some challenges VM could face in addressing the 4 V’s of Big Data (i.e., volume, variety, velocity and veracity) in semiconductor manufacturing processes. We also discuss the potential of SPA based VM in addressing them.

References:

[1] J. Moyne, J. Samantaray, and M. Armacost, “Big data emergence in semiconductor manufacturing advanced process control,” in Advanced Semiconductor Manufacturing Conference (ASMC), 2015 26th Annual SEMI, 2015, pp. 130–135.

[2] T. Tsuda, S. Inoue, A. Kayahara, S. Imai, T. Tanaka, N. Sato, and S. Yasuda, “Advanced semiconductor manufacturing using big data,” IEEE Trans. Semicond. Manuf., vol. 28, pp. 229–235, 2015.

[3] R. J. Baseman, J. He, E. Yashchin, and Y. Zhu, “Run-to-run control utilizing virtual metrology in semiconductor manufacturing.” Google Patents, Mar-2016.

[4] B. Gill, T. F. Edgar, and J. Stuber, “A novel approach to virtual metrology using Kalman Filtering,” Future Fab Int., vol. 35, pp. 86–91, 2010.

[5] Q. P. He, J. Wang, H. E. Gilicia, J. D. Stuber, and B. S. Gill, “Statistics pattern analysis based virtual metrology for plasma etch processes,” in American Control Conference (ACC), 2012, 2012, pp. 4897–4902.

[6] A. Ferreira, C. Kernaflen, L. Bucelle, Q. Mathian, and S. Decorme, “Virtual metrology models for predicting overlay of photolithography process,” in AEC/APC 2010 (11th European Advanced Equipment Control/Advanced Process Control), 2010.

[7] S. Imai, “Virtual metrology for plasma particle in plasma etching equipment,” in Semiconductor Manufacturing, 2007. ISSM 2007. International Symposium on, 2007, pp. 1–4.

[8] S. Lynn, J. Ringwood, E. Ragnoli, S. McLoone, and N. MacGearailty, “Virtual metrology for plasma etch using tool variables,” in Advanced Semiconductor Manufacturing Conference, 2009. ASMC’09. IEEE/SEMI, 2009, pp. 143–148.

[9] E. Ragnoli and S. McLoone, “A multiple modelling approach to a virtual metrology case study using OES,” in Proceedings of the 10th European Advanced Equipment Control/Advanced Process Control (AEC/APC) Conference, 2010.

[10] C. Shan, P. Tianhong, and J. ShiShang, “Development of a virtual metrology for high-mix TFT-LCD manufacturing processes,” J. Semicond., vol. 31, 2010.

[11] T.-H. Lin, M.-H. Hung, R.-C. Lin, and F.-T. Cheng, “A virtual metrology scheme for predicting CVD thickness in semiconductor manufacturing,” in Robotics and Automation, 2006. ICRA 2006. Proceedings 2006 IEEE International Conference on, 2006, pp. 1054–1059.

[12] M.-H. Hung, T.-H. Lin, F.-T. Cheng, and R.-C. Lin, “A novel virtual metrology scheme for predicting CVD thickness in semiconductor manufacturing,” IEEE/ASME Trans. mechatronics, vol. 12, pp. 308–316, 2007.

[13] D. Gleispach and J. Besnard, “Metrology models for predicting CVD oxide thickness of a PECVD process,” in Proceedings of the 10th European Advanced Equipment Control/Advanced Process Control (AEC/APC) Conference, 2010.

[14] B. Lu, J. Stuber, and T. F. Edgar, “Integrated online virtual metrology and fault detection in plasma etch tools,” Ind. & Eng. Chem. Res., vol. 53, pp. 5172–5181, 2013.

[15] T. Hirai and M. Kano, “Adaptive virtual metrology design for semiconductor dry etching process through locally weighted partial least squares,” IEEE Trans. Semicond. Manuf., vol. 28, pp. 137–144, 2015.

[16] P. Kang, D. Kim, and S. Cho, “Semi-supervised support vector regression based on self-training with label uncertainty: An application to virtual metrology in semiconductor manufacturing,” Expert Syst. with Appl., vol. 51, pp. 85–106, 2016.

[17] S. Kang and P. Kang, “An intelligent virtual metrology system with adaptive update for semiconductor manufacturing,” J. Process. Control., vol. 52, pp. 66–74, 2017.

[18] H. Purwins, B. Barak, A. Nagi, R. Engel, U. Hockele, A. Kyek, S. Cherla, B. Lenz, G. Pfeifer, and K. Weinzierl, “Regression methods for virtual metrology of layer thickness in chemical vapor deposition,” IEEE/ASME Trans. Mechatronics, vol. 19, pp. 1–8, 2014.

[19] J. Wang and Q. P. He, “Multivariate process monitoring based on statistics pattern analysis,” Ind. Eng. Chem. Res., vol. 49, pp. 7858–7869, 2010.

[20] Q. P. He and J. Wang, “Statistics Pattern Analysis - A New Process Monitoring Framework and Its Application to Semiconductor Batch Processes,” AIChE J., vol. 57, pp. 107–121, 2011.

Checkout

This paper has an Extended Abstract file available; you must purchase the conference proceedings to access it.

Checkout

Do you already own this?

Pricing

Individuals

AIChE Pro Members $150.00
AIChE Graduate Student Members Free
AIChE Undergraduate Student Members Free
AIChE Explorer Members $225.00
Non-Members $225.00