(92c) Chemical Vapor Deposition of WNxCy for Diffusion Barrier Application Using a Tungsten Diphenylhydrazido Complex | AIChE

(92c) Chemical Vapor Deposition of WNxCy for Diffusion Barrier Application Using a Tungsten Diphenylhydrazido Complex

Authors 

Kim, D. - Presenter, University of Florida
Kim, O. H. - Presenter, University of Florida
Anderson, T. J. - Presenter, University of Florida
Koller, J. - Presenter, University of Florida
McElwee-White, L. - Presenter, University of Florida
Leu, L. - Presenter, University of Florida
Norton, D. P. - Presenter, University of Florida

The tungsten diphenylhydrazido complex Cl4(CH3CN)W(NNPh2) (1) was used for film growth of tungsten carbonitride (WNxCy) by metal-organic chemical vapor deposition (MOCVD) using H2 carrier in the temperature range 300 to 700 °C.  The effects of deposition temperature and added NH3 on the film microstructure, chemical composition, bonding states, surface morphology, growth rate, and electrical resistivity were studied.  The microstructure of films deposited with NH3 was X-ray amorphous below 450 °C and polycrystalline at and above this temperature.  The chemical composition of films deposited with NH3 exhibited increased N levels between 400 and 700 °C and decreased C levels at and between 300 and 400 °C as compared to films deposited without NH3.  XPS measurements revealed that W is primarily bonded to N and C for films deposited between 300 and 700 °C.  An Arrhenius plot of growth rate was consistent with reaction limited growth, and the activation energy was lower for growth in the presence of NH3. It was also observed that the surface roughness improved with added NH3.  The measured value of the electrical resistivity fluctuated with growth temperature primarily as a result of composition and phase constitution changes.  Diffusion barrier properties were investigated from Cu/WNxCy/Si stacks consisting of 100 nm Cu deposited at room temperature by reactive sputtering on a 15 nm WNxCy film deposited at 400 °C by MOCVD.  The performance of the diffusion barrier was determined by XRD patterns, cross-sectional TEM and SEM surface images, EDS depth profiles, and four-point probe measurements.  Cu/WNxCy/Si stacks annealed at 500 °C for 30 min maintained their integrity at both the Cu/WNxCy and WNxCy/Si interfaces.  Samples annealed at higher temperature showed evidence of failure only when annealed at 700 °C.  These results support the conclusion that WNxCy thin film deposited from 1 is a viable Cu diffusion barrier material. The properties of thin films deposited with 1 and the tungsten imido complexes Cl4(CH3CN)W(NR) (R = Ph, iPr, and allyl)1 are compared to provide insight into the effect of hydrazido and imido ligands on film properties.

1O. J. Bchir, K. M. Green, H. M. Ajmera, E. A. Zapp, T. J. Anderson, B. C. Brooks, L. L. Reitfort, D. H. Powell, K. A. Abboud and L. McElwee-White, J. Am. Chem. Soc. 127, 7825-7833 (2005).